Národní úložiště šedé literatury Nalezeno 53 záznamů.  začátekpředchozí44 - 53  přejít na záznam: Hledání trvalo 0.00 vteřin. 
Development Board for 32-bit Microcontroller Atmel AT91SAM9261
Demín, Martin ; Slaný, Karel (oponent) ; Šimek, Václav (vedoucí práce)
Embedded hardware is very popular nowadays; we chose to design a board with AT91SAM9261 microcontroller with some standard and non-standard peripherals attached. As for the standard, common we have included audio port or a LAN controller. The non-standard, special is a 200k Xilinx FPGA. Using the FPGA, we may be able to achive higher throughput in some applications that are not very suitable for plain CPUs.
Sběrnice PCI express modul logického analyzátoru
Juřík, Tomáš ; Macho, Tomáš (oponent) ; Valach, Soběslav (vedoucí práce)
Cílem této práce je implementace jednoduchého logického analyzátoru do hradlového pole připojeného k sběrnici PCI-Express. Dále jsou vytvořeny moduly čtyř čítačů pro generování testovacích dat. V práci je popisán princip funkce logického analyzátoru. Je také rozebrána vývojová vývojová karta Spartan-3 PCI Express Starter Kit a architektura hradlových polí Xilinx Spartan-3. Uvedeny jsou jednotlivé kroky vývoje součástí logického analyzátoru.
Programový modul přijímače dat formátu RS232 v obvodech FPGA
Hrubý, Jiří ; Kolouch, Jaromír (oponent) ; Prokeš, Aleš (vedoucí práce)
Tato bakalářská práce popisuje programový modul přijímače dat formátu RS232. Program je psán programovacím jazykem VHDL a je určen pro obvody FPGA od firmy Xilinx. Dále se, tato bakalářská práce, zabývá simulací a implementací navrženého programu přijímače dat. Simulace a Implementace je provedena pomocí vývojového prostředí XILINX ISE WebPACK.
Vzorové úlohy ve VHDL
Huzlík, Petr ; Macho, Tomáš (oponent) ; Holek, Radovan (vedoucí práce)
Tato bakalářska prace navazuje na semestralní projekt a zabývá se jazykem VHDL a obvody FPGA a CPLD firmy Xilinx. Dále pak má tato práce za cíl popsat, jak zacházet s vývojovým prostředím WebPack, kde je popsáno jak realizovat nový projekt. Jsou zde popsány různé metody návrhu úlohy v tomto vývojovém prostředí. Nakonec jsou také uvedeny některé vzorové příklady ve VHDL.
IP generátor mikroprocesorového systému
Kerber, Rostislav ; Tošovský, Petr (oponent) ; Kubíček, Michal (vedoucí práce)
Diplomová práce se týká programovacího jazyku VHDL, návrhového systému ISE Webpack a mikroprocesoru PicoBlaze. Popisuje základy jazyka VHDL a jeho použití. Dále je v práci popsán způsob práce s programem ISE Webpack. V práci jsou popsány nejběžnější periferie a je zde popsán také Picoblaze procesor s jeho parametry a realizace. Na konec je zde popsán IP generátor pro generaci komplexního FPGA návrhu s procesorem Picoblaze.
Metody částečné rekonfigurace programovatelných struktur
Kolář, Jan ; Kváš, Marek (oponent) ; Valach, Soběslav (vedoucí práce)
Tato diplomová práce se zabývá možnostmi částečné rekonfigurace programovatelných struktur. Teoretická část obsahuje základy metod částečné rekonfigurace FPGA firmy Xilinx a je zpracována pro procesory Spartan 3, Virtex II, Virtex 4, Virtex 5. Zahrnuje popis konfiguračních rozhranní a jejich využití při rozdílové a modulární částečné rekonfiguraci. Rozdílová částečná rekonfigurace je prakticky v druhé části testována na desce Spartan 3E Starter Kit a modulární částečná rekonfigurace na desce ML501. Konfigurační bitstreamy jsou přiloženy na CD. Potřebný software poskytla firma Xilinx Inc. a konkrétně jde o programy ISE 9.2i a PlanAHEAD 9.2
Implementace softwarového rádia do FPGA
Šrámek, Petr ; Maršálek, Roman (oponent) ; Prokeš, Aleš (vedoucí práce)
Obecným cílem této práce je implementace softwarově definovaného přijímače do obvodu FPGA. Součástí textu je shrnutí a porovnání několika základních koncepcí hardware určeného pro implementaci softwarových rádií, dále pak způsob číslicové implementace různých prvků rádií jako jsou filtry, směšovače a další. Část textu je také věnována popisu hardwarové platformy, do níž bude přijímač implementován, a softwarové podpory sloužící pro návrh, simulaci a implementaci systémů do hardwaru. Velký význam v rámci práce má část popisující vytvořené přídavné hardwarové komponenty jako filtr, zesilovač a ovládací panel, nejdůležitější však je část vysvětlující návrh vlastní softwarové výbavy přijímače. Je uvedena struktura přijímače pro příjem FM rozhlasu, dále pak složitější systémy obsahující synchronizaci nosné vlny a použitelné pro příjem AM, BPSK a QPSK. Tyto přijímače je možno implementovat do hardwaru a ověřit jejich činnost. Součástí práce je návrh laboratorní úlohy.
Metody kompenzace nesymetrií kvadraturního demodulátoru
Povalač, Karel ; Valenta, Václav (oponent) ; Maršálek, Roman (vedoucí práce)
Kvadraturní modulátor (demodulátor) je používán ve vysílací (přijímací) části mnoha zařízení. Nežádoucí parametry mohou ovlivňovat amplitudu, fází nebo stejnosměrný offset modulátoru (demodulátoru). Kompenzování těchto nesymetrií bylo hlavním úkolem práce. Nejprve v prostředí MATLAB vznikly simulace těchto metod a dále byly zkoumány jejich výsledky. Následovala implementace těchto metod na programovatelný logický obvod pomocí programu Xilinx ISE. K tomuto účelu byla využita vývojová deska V2MB1000 s analogovým modulem Memec P160. V poslední fázi byly výsledky simulací podloženy praktickým měřením.
Číslicové předzkreslovače pro linearizaci zesilovačů
Kroužil, Miroslav ; Valenta, Václav (oponent) ; Maršálek, Roman (vedoucí práce)
Tato práce popisuje číslicové předzkreslení v základním pásmu užité pro linearizaci zesilovačů. Nelinearita je jednou z nevýhod výkonových zesilovačů a její redukce je z mnoha ohledů užitečná. Práce popisuje chování systému, který obsahuje: Zdroj dat, reprezentovaný modulátorem QPSK nebo OFDM, předzkreslovač, výkonový zesilovač (model nelinearity) a výpočet nových koeficientů pro adaptaci předzkreslovače. Systém je simulován v prostředí MATLAB a Xilinx (simulační program ModelSim). V závěru práce jsou výsledky porovnány, popsány a komentovány.
Jádro obvodu FPGA pro zobrazení dat na monitoru prostřednictvím portu VGA
Pišl, Adam ; Kováč, Michal (oponent) ; Kubíček, Michal (vedoucí práce)
Cílem tohoto projektu, je předvést studii možného řešení způsobu ovládání a využití počítačového monitoru připojeného k portu typu VGA řízeného standardizovanými řídícími signály generovanými obvodem typu FPGA. Jedná se o jádro hradlového pole, které je poté možné použít jako součást složitějšího designu a využít jej například pro komfortnější uživa-telské rozhraní. Projekt obsahuje řešení základní části obvodu generující standardní řídící signály a zobrazující text zadaný v ASCII kódu prostřednictvím sériového portu.

Národní úložiště šedé literatury : Nalezeno 53 záznamů.   začátekpředchozí44 - 53  přejít na záznam:
Chcete být upozorněni, pokud se objeví nové záznamy odpovídající tomuto dotazu?
Přihlásit se k odběru RSS.